How to Install Icarus and gtkwave on a Mac

Below are the steps to install Icarus Verilog and gtkwave on a Mac:

  1. Install MacPorts according to your Mac OS from Mac Ports.
  2. Open a Terminal
  3. Install Icarus Iverilog by typing the following in the terminal:
    % sudo port -v install iverilog
    Terminal would ask you for password; enter it.
    It may ask you if you want to install Xcode. Cancel that. Answer with a "Y" when you are asked to install or not and let it finish. After this step, Iverilog is installed.
  4. To view the testbench waveform, you need to download gtkwave.
    The Mac version is almost at the bottom of the page. It is a zip file. When you download it, Mac automatically unzips the file and leaves "gtkwave" in your Downloads folder. If you want, you can either move that file to your Desktop or Applications folder. Because it is a downloaded program, the first time you use gtkwave, you have to right click on it and select "Open". Once it is open, you go to "File", "Open New Tab" and select the vcd file for display.